Skip to content

Commit

Permalink
Updated uart module
Browse files Browse the repository at this point in the history
  • Loading branch information
Brandon Lam authored and Brandon Lam committed Mar 17, 2019
1 parent 5886ae4 commit 85b38a1
Showing 1 changed file with 15 additions and 10 deletions.
25 changes: 15 additions & 10 deletions ADCInterface/src/design/top.v
Original file line number Diff line number Diff line change
Expand Up @@ -7,20 +7,17 @@
// File Name: top.v
// File Description: This is the top module implementing the internal logic of FPGA
// --------------------------------------------------------------------------------------
// IMPORTANT NOTE FOR SYNTHESIS!!!
// Please Use the Following command to synthesize the top module:
// /* yosys -p "read_verilog top.v; synth_ice40 -blif top.blif" */
// /* arachne-pnr -d 5k -p syn/upduino_top.pcf -o syn/top.txt syn/top.blif */
// --------------------------------------------------------------------------------------

`include "fft_controller.v"


module top(
input wire [7:0] adin_data,
input wire rst;
output wire [7:0] daout_data,
output wire adclk,
output wire daclk
output wire daclk,
output wire txline,
);


Expand Down Expand Up @@ -48,10 +45,6 @@ SB_GB gbu_hfosc(
.GLOBAL_BUFFER_OUTPUT(global_hfosc_clk)
);





wire output_clk_global;
wire output_clk_core;
wire pll_locked;
Expand Down Expand Up @@ -97,5 +90,17 @@ assign adclk = hfosc_clk;
assign daclk = hfosc_clk;
assign doout_data = ~adin_data;

wire rdy;
reg start;

uart_tx uart_tx1(
.clk (hfosc_clk) ,
.rst (rst) ,
.start (start) ,
.data (//from buffer) ,
.tx (txline) ,
.ready (rdy) ,
);


endmodule

0 comments on commit 85b38a1

Please sign in to comment.